EUV Lithography, 2nd Edition, EUVリソグラフィ, 第2版, 9781510616783, 978-1-5106-1678-3

EUV Lithography, 2nd Edition

学術書籍  >  理工学  >  物理学・応用物理学  > 




EUV Lithography, 2nd Edition

28,160(税込)

数量

書名

EUV Lithography, 2nd Edition
極端紫外線リソグラフィ, 第2版
著者・編者 Bakshi, V.
発行元 SPIE
発行年/月 2018年2月   
装丁 Hardcover
ページ数 758 ページ
ISBN 978-1-5106-1678-3
発送予定 海外倉庫よりお取り寄せ 3-5週間以内に発送します

Description

Extreme ultraviolet lithography (EUVL) is the principal lithography technology-beyond the current 193-nm-based optical lithography-aiming to manufacture computer chips, and recent progress has been made on several fronts: EUV light sources, scanners, optics, contamination control, masks and mask handling, and resists. This book covers the fundamental and latest status of all aspects of EUVL used in the field.

Since 2008, when SPIE Press published the first edition of EUVL Lithography, much progress has taken place in the development of EUVL as the choice technology for next-generation lithography. In 2008, EUVL was a prime contender to replace 193-nm-based optical lithography in leading-edge computer chip making, but not everyone was convinced at that point. Switching from 193-nm to 13.5-nm wavelengths was a much bigger jump than the industry had attempted before. It brought several difficult challenges in all areas of lithography-light source, scanner, mask, mask handling, optics, optics metrology, resist, computation, materials, and optics contamination. These challenges have been effectively resolved, and several leading-edge chipmakers have announced dates, starting in 2018, for inserting EUVL into high-volume manufacturing.

This comprehensive volume comprises contributions from the world’s leading EUVL researchers and provides the critical information needed by practitioners and those wanting an introduction to the field. Interest in EUVL technology continues to increase, and this volume provides the foundation required for understanding and applying this exciting technology. This book is intended for people involved in one or more aspects of EUVL, as well as for students, who will find this text equally valuable.


 

Contents:

1 EUV Lithography: An Historical Perspective
2 The EUV LLC: An Historical Perspective
3A EUV Sources for High-Volume Manufacturing
3B EUV Sources for High-Volume Manufacturing
4A The EQ-10 Electrodeless Z-PinchTM Metrology Source
4B High-Brightness LDP Source for Mask Inspection
5 Optical Systems for EUVL
6A Optics Contamination
6B Collector Contamination: Normal-Incidence (Multilayer) Collectors
7 EUV Mask and EUV Mask Metrology
8 Photoresists for EUV Lithography
9 Fundamentals of EUVL Scanners
10 EUVL System Patterning Performance
Appendix: Reference Data for the EUV Spectral Region